你的位置:首页 > 光电显示 > 正文

10分钟了解FPGA市场现状和未来趋势

发布时间:2018-06-01 责任编辑:wenwei

【导读】可编程的“万能芯片” FPGA——现场可编程门阵列,是指一切通过软件手段更改、配置器件内部连接结构和逻辑单元,完成既定设计功能的数字集成电路。
 
一、FPGA简介
 
FPGA(Field Programmable Gate Array)于1985年由xilinx创始人之一Ross Freeman发明,虽然有其他公司宣称自己最先发明可编程逻辑器件PLD,但是真正意义上的第一颗FPGA芯片XC2064为xilinx所发明,这个时间差不多比摩尔老先生提出著名的摩尔定律晚20年左右,但是FPGA一经发明,后续的发展速度之快,超出大多数人的想象,近些年的FPGA,始终引领先进的工艺。
 
10分钟了解FPGA市场现状和未来趋势
 
FPGA—“万能芯片”
 
可编程的“万能芯片” FPGA——现场可编程门阵列,是指一切通过软件手段更改、配置器件内部连接结构和逻辑单元,完成既定设计功能的数字集成电路。
 
FPGA可以实现怎样的能力,主要取决于它所提供的门电路的规模。如果门电路的规模足够大,FPGA通过编程可以实现任意芯片的逻辑功能,例如ASIC、DSP甚至PC处理器等。这就是FPGA为什么被称之为“万能芯片”的原因。
 
10分钟了解FPGA市场现状和未来趋势
FPGA 内部结构
 
FPGA可随意定制内部逻辑的阵列,并且可以在用户现场进行即时编程,以修改内部的硬件逻辑,从而实现任意逻辑功能。这一点是ASIC和和DSP都无法做到的。形象点来说,传统的ASIC和DSP等于一张出厂时就写有数据且不可擦除的CD,用户只需要放到CD播放器就可以看到起数据或听到音乐;而FPGA是一张出厂时的空白的CD,需要用户自己使用刻录机烧写数据内容到盘里,并且还可以擦除上面的数据,反复刻录。
 
可编程灵活性高、开发周期短、并行计算效率高
 
FPGA的核心优点:可编程灵活性高、开发周期短、并行计算可编程灵活性高。
 
与ASIC的全定制电路不同,FPGA属于半定制电路。理论上,如果FPGA提供的门电路规模足够大,通过编程可以实现任意ASIC和DSP的逻辑功能。另外,编程可以反复,不像ASIC设计后固化不能修改。所以,FPGA的灵活性也较高。实际应用中,FPGA的现场可重复编程性使开发人员能够用软件升级包通过在片上运行程序来修改芯片,而不是替换和设计芯片(设计和)时间成本巨大),甚至FPGA可通过因特网进行远程升级。
 
开发周期短。ASIC制造流程包括逻辑实现、布线处理和流片等多个步骤,而FPGA无需布线、掩模和定制流片等,芯片开发流程简化。传统的ASIC和SoC设计周期平均是14个月到24个月,用FPGA进行开发时间可以平均降低55%。全球FPGA第一大厂商Xilinx认为,更快比更便宜重要,产品晚上市六个月5年内将少33%的利润,每晚四周等于损失14%的市场份额。
 
10分钟了解FPGA市场现状和未来趋势
FPGA比ASIC的设计流程大幅减小
 
并行计算效率高。FPGA属于并行计算,一次可执行多个指令的算法,而传统的ASIC、DSP甚至CPU都是串行计算,一次只能处理一个指令集,如果ASIC和CPU需要提速,更多的方法是增加频率,所以ASIC、CPU的主频一般较高。FPGA虽然普遍主频较低,但对部分特殊的任务,大量相对低速并行的单元比起少量高效单元而言效率更高。另外,从某种角度上说,FPGA内部其实并没有所谓的“计算”,最终结果几乎是类似于ASIC“电路直给”,因此执行效率就大幅提高。
 
FPGA限制因素:成本、功耗和编程设计
 
未来,如果FPGA价格到低一定程度,将替代大多数的ASIC芯片。但是,目前制约FPGA发展的三大因素主要有:成本、功耗和编程设计。
 
10分钟了解FPGA市场现状和未来趋势
ASIC 与 FPGA 对比
 
成本。如果ASIC流片量大,实现同样逻辑的FPGA成本将是ASIC的10倍以上。按照上面的初步测算,以5万片流片为零界点,低于5万片的小批量多批次的专用控制设别(如雷达、航天飞机、汽车电子、路由器,这些高价值、批量相对较小、多通道计算的专用设备)采用FPGA更加经济划算。
 
10分钟了解FPGA市场现状和未来趋势
FPGA和ASIC的成本粗算
 
功耗。FPGA中的芯片的面积比ASIC更大,这是因为FPGA厂商并不知道下游的具体需求应用,故在芯片中装入规模巨大的门电路(其实很多没有使用到),行业深度报告:FPGA—大数据和物联网时代大有可为国防、汽车等,这些领域对低功耗要求不高。
 
编程设计。FPGA的发展中,软件将占据60%的重要程度。例如Xilinx公司60%~70%的研发人员从事软件工作。除了考虑芯片架构,编程设计时还要考虑应用场景多样性、复杂性和效率。FPGA编程需要采用的专用工具进行HDL编译,再烧录至FPGA中,其技术门槛非常高。
 
二、国内外FPGA游戏厂商收录
 
FPGA市场前景诱人,但是门槛之高在芯片行业里无出其右。全球有60多家公司先后斥资数十亿美元,前赴后继地尝试登顶FPGA高地,其中不乏英特尔、IBM、德州仪器、摩托罗拉、飞利浦、东芝、三星这样的行业巨鳄,但是最终登顶成功的只有位于美国硅谷的四家公司:Xilinx(赛灵思)、Altera(阿尔特拉)、Lattice(莱迪思)、Microsemi(美高森美),其中,Xilinx与Altera这两家公司共占有近90%的市场份额,专利达到6000余项之多,如此之多的技术专利构成的技术壁垒当然高不可攀。而Xilinx始终保持着全球FPGA的霸主地位。
 
FPGA是一个技术密集型的行业,没有坚实的技术功底,很难形成有竞争力的产品。这也是FPGA市场多年来被四大巨头Xilinx(赛灵思)、Altera(阿尔特拉)、Lattice(莱迪思)、Microsemi(美高森美)基本垄断的原因。
 
国外FPGA厂商:
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:Xilinx
 
总部:美国加利福尼亚圣何塞市
 
官网:http://www.xilinx.com/
 
1984年Xilinx共同创始人Ross Freeman, Bernie Vonderschmitt和 Jim Barnett 为自己定下了一个目标, 那就是“针对特定应用市场, 把Xilinx打造成为一个设计,制造,营销,及用户可配置逻辑阵列全面领先的企业。”从一个专利起步, Xilinx的创始人点燃了一种创新的精神。这种精神,不仅成就了一个前所未有的行业,而且也让Xilinx成为半导体行业当之无愧的领导企业。
 
10分钟了解FPGA市场现状和未来趋势
Xilinx大事记
 
Xilinx发明的FPGA颠覆了半导体世界,创立了Fabless(无晶圆厂)的半导体模式。Xilinx的产品组合融合了 FPGA、SoC 和 3DIC 系列 All Programmable 器件,以及全可编程的开发模型,包括软件定义的开发环境等。产品支持 5G 无线、嵌入式视觉、工业物联网和云计算所驱动的各种智能、互连和差异化应用。
 
10分钟了解FPGA市场现状和未来趋势
Xilinx在5G 无线、嵌入式视觉、工业物联网和云计算等都有所涉及
 
客户使用Xilinx及其合作伙伴的自动化软件工具和IP核对器件进行编程,从而完成特定的逻辑操作。目前Xilinx满足了全世界对 FPGA产品一半以上的需求。
 
Xilinx产品线还包括复杂可编程逻辑器件(CPLD)。在某些控制应用方面CPLD通常比FPGA速度快,但其提供的逻辑资源较少。与采用传统方法如固定逻辑门阵列相比,利用Xilinx可编程器件,客户可以更快地设计和验证他们的电路。而且,由于Xilinx器件是只需要进行编程的标准部件,客户不需要象采用固定逻辑芯片时那样等待样品或者付出巨额成本。
 
Xilinx产品已经被广泛应用于从无线电话基站到DVD播放机的数字电子应用技术中。传统的半导体公司只有几百个客户,而Xilinx在全世界有7,500多家客户及50,000多个设计开端。其客户包括Alcatel,Cisco Systems,EMC,Ericsson,Fujitsu,Hewlett-Packard,IBM,Lucent Technologies,Motorola,NEC,Nokia,Nortel,Samsung,Siemens,Sony,Oracle以及Toshiba。
 
Xilinx可编程芯片为行业领导厂商提供了可选的创新平台,使得他们可以设计和生产出数以万计改善我们日常生活质量的先进产品。
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:Altera
 
总部:美国加利福尼亚圣何塞市
 
官网:https://www.altera.com.cn/
 
是世界上“可编程芯片系统”(SOPC)解决方案倡导者。结合带有软件工具的可编程逻辑技术、知识产权(IP)和技术服务,在世界范围内为14,000多个客户提供高质量的可编程解决方案。新产品系列将可编程逻辑的内在优势——灵活性、产品及时面市——和更高级性能以及集成化结合在一起,专为满足当今大范围的系统需求而开发设计。全面的产品组合不但有器件,而且还包括全集成软件开发工具、通用嵌入式处理器、经过优化的知识产权(IP)内核、参考设计实例和各种开发套件等。
 
2015年,英特尔宣布以167亿美元收购FPGA厂商Altera。这是英特尔公司历史上规模最大的一笔收购。随着收购完成,Altera将成为英特尔旗下可编程解决方案事业部(Programmable Solutions Group),丹·麦克纳马拉(Dan McNamara)将担任这一部门的负责人。新成立的可编程解决方案事业部将携手英特尔现有数据中心事业部与物联网事业部,一起开发“高度定制化和高度集成化的产品。”新的一体化芯片最初将带来30%至50%的性能提升,而最终的性能提升将达到2到3倍。这样的计算性能对于人脸识别等计算任务很重要。
 
10分钟了解FPGA市场现状和未来趋势
Altera公司产品
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:Lattice
 
总部:美国俄勒冈州
 
官网:http://www.latticesemi.com/zh-CN
 
莱迪思提供业界领先的SERDES产品。 FPGA和PLD是广泛使用的半导体元件,最终用户可以将其配置成特定的逻辑电路,从而缩短设计周期,降低开发成本。莱迪思的最终用户主要是通讯、计算机、工业、汽车、医药、军事及消费品市场的原始设备生产商。
 
莱迪思为当今系统设计提供全面的解决方案,包括能提供瞬时上电操作、安全性和节省空间的单芯片解决方案的一系列无可匹敌的非易失可编程器件。
 
2015年Lattice以6亿美元收购了Silicon Image;2016年4月紫光宣布在公开市场收购Lattice 6.07%股权,致使Lattice的股票股价大涨18%;2016年11月3日, Lattice被Canyon Bridge 以13亿美元收购,致使Lattice股价暴涨近20%,但此次收购最终被特朗普叫停,目前还在持续关注最新进展。Canyon Bridge Capital Partners创始人周斌(Benjamin Chow)正面临起诉。
 
10分钟了解FPGA市场现状和未来趋势
Lattice公司产品
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:Microsemi
 
总部:美国加利福尼亚州尔湾市
 
官网:http://www.latticesemi.com/zh-CN
 
2010年10月,美高森美(Microsemi)宣布,与爱特公司(Actel Corporation)达成最终协议,以每股20.88美元的现金邀约收购爱特公司。收购Actel后,美高森美一路走高,成为FPGA市场四大巨头之一。
 
美高森美在业内久负盛名,因为该公司是美国国防军工FPGA器件顶级供应商,每年都会出现在美国国防预算名单中,在高可靠性应用领域有出众且独特的芯片制造工艺技术,美国航空航天市场的FPGA电子器件几乎由其一家承包,军工方面有赛灵思和altera竞争。
 
美高森美主要产品包括:SMSC芯片、桥式整流器、三相整流桥、二极管整流模块、单相整流模块、三相整流模块、高压硅堆、快恢复整流模块、晶闸管模块及其它半导体器件和芯片。产品达到美国军用/航空二极管标准,主要出口美国、广泛应用于移动通信、计算机及周边设备、医疗器械、汽车、卫星、通讯及军用/航天等领域。
 
另外,美高森美在中国上海有一家制造工厂。集团于2017年3月21日在纳斯达克发布上市公司公告称,正式宣布基于集团公司战略发展方向调整的原因,开始关闭其在中国的生产设施。
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:Achronix
 
总部:美国
 
官网:https://www.achronix.com/
 
Achronix半导体公司是一家提供高性能,高密度FPGA方案的美国高科技公司。公司拥有两个主要产品线。其中一个商业FPGA线被命名为Speedster,这款产品系列的最高频率将达到1.5GHz,要比其他所有FGPA的频率都快。Speedster和Achronix公司的其他产品线,可适用于高辐射和恶劣温度等环境,利用该公司的专利技术picoPIPE,可使得传统的FPGA的吞吐量额外增加两倍。
 
Archronix目前有三个产品系列:独立芯片,嵌入式FPGA内核,和客户的芯片进行组合封装。
 
Achronix公司不久前推出了定制化的custom blocks(定制单元块)。名为Speedcore Custom Blocks的IP新产品可加速数据密集的人工智能(AI)/机器学习、5G移动通信、汽车先进驾驶员辅助系统(ADAS)、数据中心和网络应用。
 
Achronix将在2017年实现强劲的销售收入和业务量增长,预计2017年第四季度完成后,销售收入将超过1亿美元。其中,Speedcore是三种产品中增速最快的产品。2016年10月第一次向媒体发布,目前在公司营收中占25%份额,预计未来三年内将为公司整体利润贡献50%。
 
Achronix作为FPGA的后来者,今年也要跨入1亿美元俱乐部。新产品Speedcore 推出一年已占营收1/4,未来三年将占半壁江山。在夹缝中生长,Achronix的商业模式就是不走寻常路。
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:QuickLogic
 
总部:美国加利福尼亚州尔湾市
 
官网:http://www.latticesemi.com/zh-CN
 
QuickLogic Corporation (NASDAQ: QUIK) 是协助 OEM厂商延长电池续航力,以针对智能型手机、穿戴式及物联网(IoT) 装置达到高差异化、身历其境的使用者体验的一家公司。QuickLogic透过领导业界的超低功耗客户可编程SoC半导体解决方案、嵌入式软件、以及针对always-on语音及传感器处理之算法方案提供相关优势。该公司的嵌入式FPGA 方案同样让 SoC 设计者可简单地进行生产后的变更,并透过将硬件可编程能力提供至其终端产品而提高营收。
 
QuickLogic的产品线主要包括:存储,为厂商制作控制器;网络:包括USB、WiFi、SPI等多种接口控制;图形及影像处理优化;智能及安全几部分组成。
 
QuickLogic公司主要从事客户定制化标准产品,英文简称CSSP。以下有几个案例:
 
2011年10月,QuickLogic宣布推出一个新的用于PolarPro ®平台系列应用的解决方案,该解决方案让PolarPro ®平台把应用处理器上的SDIO端口连接到高通公司的调制解调器芯片组的EBI2接口上。这种新的应用解决方案为移动和手持设备设计师提供了一个低成本、低功耗、易于使用的方案,以取代USB端口这类通用接口。
 
2015年8月,QuickLogic公司推出新的EOS S3处理系统。EOS平台中整合了一种革命性的架构,因而可以实现行业中最先进、计算功能最密集的传感器应用系统,而功耗比竞争对手的技术低很多。EOS平台的独特好处是其中有2800个有效的在线可重复编程逻辑单元,当客户为了把产品设计得有特色需要增加FFE或者客户专用硬件时,可以使用这些逻辑单元。
 
2017年9月,中芯国际与QuickLogic共同宣布,基于中芯国际40纳米低漏电 (40LL) 工艺,QuickLogic推出ArcticPro 嵌入式 FPGA (eFPGA)技术。QuickLogic的高级架构、成熟软件和IP 生态系统与中芯国际40LL工艺相结合,为SoC设计人员提供了易于实施、高可靠性和极低功耗的eFPGA 解决方案。ArcticPro eFPGA技术如今已应用于各种领先工艺中,是业界首个在中芯国际40LL技术节点上提供的eFPGA IP。
 
10分钟了解FPGA市场现状和未来趋势
国外四大FPGA巨头概况
 
10分钟了解FPGA市场现状和未来趋势
FPGA霸主赛灵思与阿尔特拉对比
 
国内FPGA厂商(排名不分先后):
 
与国际上巨头的兼并和退出相反,近些年国内陆续诞生了一些FPGA设计公司,且有蒸蒸日上的趋势,但在市场份额及技术方面和国际巨头差距非常大,还远未达到挑战领先巨头的实力。
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:深圳市国微电子股份有限公司
 
总部:中国深圳
 
官网:http://www.ssmec.com/
 
深圳市国微电子有限公司成立于1993年,是首家启动的国家“909”工程的集成电路设计公司。主要从事特种行业集成电路的研发、测试和销售,并提供系统集成解决方案,是国内最大的特种行业集成电路供应商。
 
公司拥有特种微处理器、特种存储器、特种可编程器件、特种总线、特种接口驱动、特种电源管理和特种定制芯片七大产品方向200余款产品,同时可以为用户提供ASIC/SOC设计开发服务及国产化系统芯片级解决方案。2012年底完成与上市公司紫光国芯股份有限公司(股票代码:002049)重组工作,成为紫光国芯的全资子公司。
 
公司目前硬件设计平台包括SUN公司的服务器及HP服务器工作站群,同时并配备有先进的EDA软件、测试系统。掌握了数字逻辑(Digital)、模拟混合(Mixed-Signal)芯片的设计方法和设计流程。在高性能微处理器、高性能可编程器件、存储类器件、总线器件、接口驱动器件、电源芯片和其它专用芯片等领域具有芯片设计能力以及相应整机产品的应用方案开发能力。
 
开发出完整的基础单元库,积累了丰富的IP核,如32位嵌入式微控制器核、嵌入式FPGA、高速SERDES等。拥有65纳米以上CMOS、0.18微米EEPROM/Flash、0.35微米BCD、2.0微米Bipolar工艺制程的IC设计成功经验,可提供数字、数模混合等专用芯片设计服务。
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:西安智多晶微电子有限公司
 
总部:中国陕西
 
官网:http://www.isilicontech.com/
 
西安智多晶微电子有限公司,Xian Intelligence Silicon Technology, Inc. (XiST) ,自2012年成立以来,智多晶一直专注于可编程逻辑电路器件技术的研发生产,遵循公司CEO贾红先生提出的经营理念——“做国内顶尖的FPGA设计公司,成为国内最受人尊敬的企业”。公司主营业务为研发、生产、销售可编程集成电路、设备、芯片、可编程系统设计平台、软件、并且针对目标市场的需求,开发代码和IP、提供终端客户完整解决方案。
 
公司紧紧抓住可编程逻辑电路器件研发的技术核心,在LED驱动、高端医疗、智能仪表、工业控制等四大应用领域研发创新并推出相关产品,提供高质量,低功耗,低成本,马上可投入量产的系统集成解决方案。智多晶现有产品Seagull 1000系列、sealion2000系列及在研seal 5000系列,智多晶的产品得到业界的广泛好评,并已应用到民用市场、安防和国防建设的多个领域,其中sealion2000系列12K产品出货量已达到百万片。
 
智多晶核心团队组合汇集美国硅谷及中国等地各方面的人才,具有20年以上的集成电路产品研发和应经验。积累了丰富的和系统的可编程电路研发专有技术群。团队曾经开发了好几代的可编程逻辑电路芯片制造工艺,成功地推出世界一流的可编程逻辑电路芯片。为前公司创造了每年10亿的产值。是全世界除了四家美国公司之外,第五个跨过技术门槛的团队。
 
10分钟了解FPGA市场现状和未来趋势
 
公司名称:广东高云半导体科技股份有限公司
 
总部:中国广东
 
官网:http://www.gowinsemi.com.cn/
 
高云半导体于 2014 年1月成立,公司以国产现场可编程逻辑器件(FPGA)研发与产业化为核心,旨在推出具有核心自主知识产权的民族品牌FPGA 芯片,提供集设计软件、IP核、参照设计、开发板、定制服务等一体化完整解决方案的民营高科技公司,打造高端集成电路领域的民族品牌,发展成为中国集成电路行业的骨干企业之一。
 
公司科研实力雄厚,打造了一支具有极强的自主创新研发能力的科研团队,建设有上海、济南两个产品开发中心和美国硅谷的前沿技术研发中心,研发团队规模近百人,70%以上具有硕士及以上学历,核心研发人员平均从事核心FPGA软件、硬件技术开发超过15年以上,入选省市各类高层次人才计划十余人。
 
高云半导体自成立以来,坚持正向设计,历经三年厉兵秣马,先后推出了晨熙、小蜜蜂两个家族、4个系列FPGA产品,涵盖了11个型号、50多种封装的芯片,一跃成为国产FPGA领导者。其中国产自主可控关键元器件55纳米工艺FPGA芯片于2015年获得广东省高新技术产品荣誉称号。
 
10月26日,广东高云半导体科技股份有限公司发布了小而专的GW1NS-2 SoC、高精尖的GW3AT高性能FPGA和RISC-V平台化产品。
 
10分钟了解FPGA市场现状和未来趋势
 
上海安路信息科技有限公司
 
总部:中国上海
 
官网:http://www.anlogic.com/
 
上海安路信息科技有限公司成立于2011年,总部位于上海。公司专注于为客户提供高集成度、高性价比的可编程逻辑器件、可编程系统级芯片、定制化可编程芯片、及相关软件设计工具和创新系统解决方案。
 
公司创始人及核心团队来自海外高级技术管理人才、国外FPGA公司产品开发骨干以及学术界资深FPGA科研人员组成。公司研发团队70%具有硕士或博士学位,多数毕业于复旦、交大、UCLA、UIUC等国内外高校。核心团队大都在世界著名的FPGA公司和EDA公司中从事过10年以上高级技术研发和管理工作,参与开发了多款世界领先的FPGA 芯片和最好的EDA 开发系统。
 
安路科技已量产ELF和EAGLE等系列自主知识产权可编程逻辑器件产品,相比国外同类芯片,提供更多资源、更高性能、更优性价比。公司开发的全流程TD软件系统和硬件芯片完美地结合在一起,提供了用户从前端综合到位流生成和片上调试的完整开发平台。公司在核心架构、软件算法和系统集成方面拥有多项技术专利。
 
安路科技根植中国,面向世界,积极参与国际竞争,努力成为中国可编程逻辑器件产业的主导企业和世界可编程逻辑器件解决方案的主要供应商。
 
10分钟了解FPGA市场现状和未来趋势
 
深圳市紫光同创电子有限公司
 
总部:深圳
 
官网:http://www.pangomicro.com/
 
深圳市紫光同创电子有限公司(原深圳市同创国芯电子有限公司)成立于2013年,注册资本人民币15000万元,是上市公司紫光国芯股份有限公司旗下公司。紫光同创总部设在深圳,同时在上海漕河泾新兴技术开发区和北京海淀区分别设有分公司。2016年6月,公司由原深圳市同创国芯电子有限公司更名为深圳市紫光同创电子有限公司。
 
公司核心团队均来自国外知名FPGA厂商,具有超过10年的FPGA研发和产业化经验,公司承接多项国家核高基课题任务,拥有近200项FPGA领域自主产权专利。公司主要产品为高性能可重构系统芯片,产品主要应用于各类通信设备、终端设备、工业控制设备。
 
2017年10月,在IC China 展会上展示了Titan系列高性能FPGA、Logos系列高性价比FPGA、PGX系列高集成度SoPC、产品开发板卡等产品,并展示了可编程网络处理平台的国产领先解决方案。
 
10分钟了解FPGA市场现状和未来趋势
 
上海遨格芯微电子有限公司(AGM)
 
总部:中国上海
 
官网:http://www.alta-gate.com/
 
AGM在杭州、上海和北京设有办公室,自公司2012年创办以来,始终专注于研发自主知识产权的FPGA核心软件和硬件技术。已经推出三个系列的CPLD、FPGA、Programmable SoC产品进入量产,已得到多家知名厂商认证,在多元化的市场量产出货,是首家得到国内商用市场认可的国产FPGA供应商,并通过三星供应商认证的产品。
 
AGM在软件和电路上拥有自主知识产权,在产品方面目前走的是兼容主流大厂并软硬件生态不变的路线,在芯片内部接口兼容主流厂商器件,PCB板级可以直接替换并用AGM编译软件导入烧写。
 
10分钟了解FPGA市场现状和未来趋势
 
京微雅格(北京)科技有限公司
 
总部:中国北京
 
官网:http://www.capital-micro.com/
 
2003年,京微雅格的前身在美国硅谷创立,2005年公司迁至北京,主要从事FPGA开发,经过10年的技术积累,目前拥有90多项已授权专利,开发出CME C系列、M系列、R系列、P系列产品,并在国内从事FPGA开发的公司中处于领先地位,最新发布的CME-C1的容量达2000万门级别,采用台积电的40nm CMOS工艺流片,已经可以在中低端市场局部实现对国外FPGA的替换。
 
日前,集成电路设计公司京微雅格经营上遭遇困境在行业界引发不小的震动。2014年,这家公司承接了国家科技重大专项FPGA研发与产业化应用,致力于在通信、工业、航天、国防、消费电子等领域广泛应用的FPGA自主研发。然而有消息称京微雅格公司负债3000万,并拖欠员工两个月的薪资,经历资金困难,股权重组后,有消息传出京微雅格已经“倒闭”,虽然京微后来出面澄清公司只是经营遇到了困难并非真的倒闭,但这一传言还是给整个行业带来不小的震动。
 
三、FPGA市场现状
 
当今,半导体市场格局已成三足鼎立之势,FPGA,ASIC和ASSP三分天下。市场统计数据表明,FPGA已经逐步侵蚀ASIC和ASSP的传统市场,并处于快速增长阶段。
 
在全球市场中,Xilinx($233.7100)、Altera两大公司对FPGA的技术与市场仍然占据绝对垄断地位。两家公司占有将近90%市场份额,专利达6000余项之多,而且这种垄断仍在加强。同时,美国政府对我国的FPGA产品与技术出口进行苛刻的审核和禁运,使得国家在航天、航空乃至国家安全领域都受到严重制约。因此,研发具有自主知识产权的FPGA技术与产品对打破美国企业和政府结合构成的垄断,及国家利益意义深远。
 
10分钟了解FPGA市场现状和未来趋势
全球FPGA市场几乎被xilinx和Altera垄断
 
FPGA国内现状
 
目前中国IC厂商在FPGA这个细分领域和国外巨头的差距远远比其他领域要大。
 
FPGA技术门槛非常高,核心技术只掌握在及其少数的公司手上,而且xilinx和atlera手头握有6000多项专利,对后进者形成很高的技术壁垒,国内厂商要么和国外巨头专利交叉授权,要么花钱买专利,但当前我们并没有多少专利可以和xilinx和altera进行交叉许可,购买难度更大,这不仅仅是资金的问题。从canyon bridge收购lattice被美国否决来看,凡涉及到美国国家安全的高新技术公司,我国是不可能通过收购来获得的,lattice在行业内充其量是第二团队尚且如此,业界领先的企业我国更难获得。
 
国内FPGA的发展只能靠自主,虽然这个过程可能会很漫长,但除此之外没有更好的选择。芯片的自主设计是实现信息安全的最底层保障。这也是为什么与信息处理相关的基础芯片(手机芯片、PC处理器等)需要实现自制的原因。在目前FPGA的技术和供给几乎全部来源于美国,包括欧洲和日本等技术强国也没有掌握到核心技术。
 
对于中国而言,国家促进集成电路发展已经提升至国家战略。同时特殊的应用场景(军工、导弹、航天航空)的要求的FPGA,国外对中国是禁运的,这也从另一方面促成国内FPGA自制的契机。目前,国内生产的FPGA主要用于军工、通讯、航空航天等领域。
 
在民用领域,国内是FPGA需求最大的市场,现在Xilinx、Altera最大的客户就在中国,通讯市场华为中兴烽火包揽了全国60%以上的量。中国FPGA的发展红利在于需求市场足够大,有需求就要有相应产品来支持。这对于国内厂家就是机会,目前,同方国芯片已经和华为中兴合作,想实现一部分的国产替代。
 
最后,从技术角度来说,我们已经不像10年前基本不懂核心技术。国内半导体产业链的不断成熟完善,以及芯片设计能力的不断加强,我们自己可以自主设计和流片ARM架构的手机CPU(海思麒麟、大唐联芯),并成功实现商业化,这在10年前都不敢相信。在我们在过去积累的技术沉淀和创新能力,已经使得我们在FPGA的特定应用领域(军工、通讯)实现一定程度上的自我供给。未来也可能类似于CPU+FPGA用于云数据中心节中,这些应用领域都是信息高度敏高的地方,使用自主设计的芯片更能实现安全可控。
 
人才需求
 
中国每年对于FPGA设计人才的需求缺口巨大,FPGA设计人才的薪水也是行业内最高的。目前,美国已有FPGA人才40多万,中国台湾地区也有7万多,而中国内地仅有1万左右,可见中国渴望有更多的FPGA人才涌现出来。 
 
四、FPGA的未来
 
作为一种可编程逻辑器件,FPGA在短短二十多年中从电子设计的外围器件逐渐演变为数字系统的核心。伴随半导体工艺技术的进步,FPGA器件的设计技术取得了飞跃发展及突破。通过FPGA器件的发展历程来看,今后仍将朝下以下几个方向发展:
 
· 高密度、高速度、宽频带、高保密;
 
· 低电压、低功耗、低成本、低价格;
 
· IP软/硬核复用、系统集成;
 
· 动态可重构以及单片集群;
 
· 紧密结合应用需求,多元化发展。
 
此外,集成了FPGA 架构、硬核CPU 子系统(ARM/MIPS/MCU)及其他硬核IP 的芯片已经发展到了一个“关键点”,它将在今后数十年中得到广泛应用,为系统设计人员提供更多的选择。例如,以应用为导向,在受专利保护的FPGA平台架构上无缝集成特定功能模块,以形成具备行业竞争优势(高性价比)的独特产品。
 
从技术上来看,GPU、FPGA 和 ASIC 都各有千秋。从实际应用来看,GPU 拥有最完善的生态系统支撑,具有较大的先发优势。
 
10分钟了解FPGA市场现状和未来趋势
 
人工智能在推理环节应用刚起步,云端要比前端设备发展速度更快。下面我们将重点讲述一下云端数据中心的应用。在数据中心,FPGA 使用日益广泛,而 ASIC 路线风险太高,目前仅有谷歌批量部署了 TPU。
 
FPGA 已在全球七大数据中心实际部署
 
FPGA 最大的优点是动态可重配、性能功耗比高,非常适合在云端数据中心部署。
 
当在数据中心部署之后,FPGA 可以根据业务形态来配臵不同的逻辑实现不同的硬件加速功能。以腾讯云为例,当前服务器上的 FPGA 板卡部署的是图片压缩逻辑,服务于 QQ 业务;而此时广告实时预估需要扩容获得更多的 FPGA 计算资源,通过简单的 FPGA 重配流程,FPGA 板卡即可以变身成“新”硬件来服务广告实时预估,非常适合批量部署。
 
FPGA 的性能功耗比显著高于 GPU。以普遍使用在服务器中的 FPGA型号 A10GX660 为例,性能/功耗能达到 45GFLOPS/W,而对应的 GPU 型号 M4,性能/功耗能达到29GFLOPS/W。依次测算 FPGA 性能功耗比要高 50%。
 
近两年,全球七大超级云计算数据中心包括 IBM、Facebook、微软、AWS 以及 BAT都采用了 FPGA 服务器。在这方面,中国和美国处以同一起跑线。
 
10分钟了解FPGA市场现状和未来趋势
大超级数据中心都采用了 FPGA
 
行业发展趋势:FPGA 大有可为
 
比使用现状更重要的是未来的技术和产业发展趋势。从行业发展趋势来看,FPGA 潜力被低估了,未来大有可为。具体如下:
 
算法正在快速迭代中
 
人工智能算法正处于快速迭代中。虽然 ASIC 芯片可以获得最优的性能,即面积利用率高、速度快、功耗低;但是 AISC 开发风险极大,需要有足够大的市场来保证成本价格,而且从研发到市场的时间周期很长,不适合例如深度学习 CNN 等算法正在快速迭代的领域。因此,推出 ASIC 芯片风险非常高,且成本太高,只有谷歌等极少数公司敢于尝试。
 
更重要的是,当前人工智能算法模型的发展趋势是从训练环节向推理环节走,这个过程非常有利于 FPGA 未来的发展。人工智能算法模型从训练环节走向推理环节并不是简单搬运过去。训练出来的算法模型往往规模太大,复杂度太高,无法直接部署实际应用。现在,人工智能算法模型研究的重要趋势就是将训练后的模型再进行压缩,在基本不损失模型精度的情况下,将模型压缩到原来的几十分之一,再应用到推理环节。
 
10分钟了解FPGA市场现状和未来趋势
模型压缩是从训练环节走向推理环节的必要过程
 
以深鉴科技的研究成果为例,公司发布的论文《ESE: Efficient Speech Recognition Engine with Sparse LSTM on FPGA》指出,长短期记忆网络(LSTM)被广泛用于语音识别领域。为实现更高的预测精度,机器学习研究者们构建了越来越大的模型。然而这样的模型十分耗费计算和存储资源。部署此类笨重的模型会给数据中心带来很高的功耗,从而带来很高的总拥有成本(TCO)。
 
公司提出了一种可以在几乎没有预测精度损失的情况下将 LSTM 模型的尺寸压缩 20 倍(10 倍来自剪枝和 2 倍来自量化)的负载平衡感知剪枝(load-balance-aware pruning)方法。
 
最后,它们设计了一种可以直接在这种压缩模型上工作的硬件框架—Efficient Speech Recognition Engine (ESE)。该框架使用了运行频率为 200MHz 的 Xilinx XCKU060 FPGA,具有以 282 GOPS 的速度直接运行压缩 LSTM 网络的性能,相当于在未压缩 LSTM 网络上 2.52TOPS 的速度;此外,该框架执行一个用于语音识别任务的全 LSTM 仅需 41W 功耗。在基于 LSTM 的语音基准测试中,ESE 的速度为英特尔 Core i7 5930k CPU 的 43 倍,英伟达 Pascal Titan X GPU 的 3 倍。它的能量效率分别为以上两种处理器的 40 倍和 11.5 倍。
 
这篇论文验证了我们上述观点:
 
人工智能算法正处于快速迭代中。公司提出的新算法,可以在几乎没有预测精度损失的情况下将 LSTM 模型的尺寸压缩 20 倍(10 倍来自剪枝和 2 倍来自量化)。在算法能够带来数量级的性能提升下,想要将算法固化在 ASIC 中来获得效率提升的想法是不切实际的。
 
采用了搭建在 FPGA 上的硬件框架 ESE,获得了高一个数量级的能量效率提升。ESE 的速度为英特尔 Core i7 5930k CPU 的 43 倍,英伟达 Pascal Titan X GPU 的 3 倍。它的能量效率分别为以上两种处理器的 40 倍和 11.5 倍。采用 FPGA 搭建硬件框架充分发挥了 FPGA 万能芯片的特性,性能远超 GPU 等。
 
芯片 NRE 费用在指数级上升
 
集成电路行业的特点是赢家通吃,像 CPU 处理器,只有英特尔一家独大,门槛极高。而随着芯片制程工艺的提升,芯片 NRE 费用呈现指数级上升。这样导致的结果是需要收回成本的芯片销售规模门槛越来越高。市场上能够满足如此大市场规模要求的单品是非常少的。
 
10分钟了解FPGA市场现状和未来趋势
芯片设计成本随着制程提升呈指数级上升
 
而 FPGA 则可以受益于指数级成本上升带来的规模效应。因为 FPGA 的 NRE 成本可以摊到上千个小项目上,从而让每个项目只分担几十万美元的 NRE。比如开发一款 14nm 的 FPGA,假设需要一亿美元,其性能可以达到 45nm ASIC 的水平。然后有 1000 个有 45nm 工艺要求的项目可以采用该 FPGA 来解决问题,他们支付不了45nm 工艺数千万美元的 NRE,但是通过分摊的方式每家支付几十万美元可以使用14nm 的 FPGA 产品。
 
因此,随着芯片 NRE 费用指数级上升,越来越多的 ASIC 芯片将由于达不到规模经济而被迫放弃,从而转向直接基于 FPGA 开发设计。而 FPGA 可以受益于指数级成本上升带来的规模效应。
 
来源:芯师爷
 
 
推荐阅读:
 
计算各种运放电路的输出失调
为庆祝 618 购物节,Digi-Key 推出购物赢好礼活动
瞬时测频技术五十年发展历程
智能负载管理和负载电流感测
电动轨道牵引系统需要专门的电源管理
特别推荐
技术文章更多>>
技术白皮书下载更多>>
热门搜索
 

关闭

 

关闭